二手半导体设备交易-二手半导体设备采购平台semi1688.com
首页 CANON NIKON DISCO ASML AMAT TEL LAM KLA SEMICS HITACHI YOKOGAWA
图 片 设备名称 制造商 型号 年份 详细配置 状 态
TEL MARK8涂胶显影机 TEL MARK8涂胶显影机 TEL MARK8 1996.08 设备完整不缺件,在日本; 国外
DISCO DAD3350晶圆切割机 DISCO DAD3350晶圆切割机 DISCO DAD3350 2016 设备完整不缺件,2024.04下线,目前在日本; 国外
AMAT P-5000 PECVD AMAT P-5000 PECVD AMAT P-5000 1999 美国6"2腔 国外
AMAT P-5000 DRY ETCH AMAT P-5000 DRY ETCH AMAT P-5000 1999 美国6"ESC 2腔 国外
ABM/6/350/DCCD光刻机 ABM/6/350/DCCD光刻机 ABM ABM/6/350/DCCD - 2"-6" 国内
ANELVA I-1060 SVII PVD溅射台 ANELVA I-1060 SVII PVD溅射台 ANELVA I-1060 SVII 1995.08 6" 国内
ANELVA ILC-1051 PVD溅射台 ANELVA ILC-1051 PVD溅射台 ANELVA ILC-1051 2000.9 6" 国内
ANELVA ILC-1051 PVD溅射台 ANELVA ILC-1051 PVD溅射台 ANELVA ILC-1051 1990.9 6" 国内
ANELVA ILC-1051 PVD溅射台 ANELVA ILC-1051 PVD溅射台 ANELVA ILC-1051 - 6" 国内
ANELVA ILC-1051 PVD溅射台 ANELVA ILC-1051 PVD溅射台 ANELVA ILC-1051 - 6" 国内
CELLO ohmiker-80B PVD蒸发台 CELLO ohmiker-80B PVD蒸发台 CELLO ohmiker-80B 2016 4/5/6"4寸60片 国内
CELLO ohmiker-80B PVD蒸发台 CELLO ohmiker-80B PVD蒸发台 CELLO ohmiker-80B 2014 4/5/6"4寸60片 国内
CANON MPA-600FA投影光刻机 CANON MPA-600FA投影光刻机 CANON MPA-600FA 2000 4"-6" 国内
CANON MPA-500FA投影光刻机 CANON MPA-500FA投影光刻机 CANON MPA-500FA - 2"-5" 国内
CANON MAS-801HR低损伤干法去胶机 CANON MAS-801HR低损伤干法去胶机 CANON MAS-801HR - 6" 国内
CANON VIR-630外观检查仪 CANON VIR-630外观检查仪 CANON VIR-630 1989 6" 国内
DNS SC-W80A SOG涂胶显影机 DNS SC-W80A SOG涂胶显影机 DNS SC-W80A 1999/2015升 6/8"2C+CURE FUNANCE 国内
DNS SK-200W-AVP涂胶显影机 DNS SK-200W-AVP涂胶显影机 DNS SK-200W-AVP 1998 6/8"2C2D 国内
DNS SK-200W-AVP涂胶显影机 DNS SK-200W-AVP涂胶显影机 DNS SK-200W-AVP 2009.09 6/8"2D备件机 国内
DNS SKW-629-BV涂胶显影机 DNS SKW-629-BV涂胶显影机 DNS SKW-629-BV - 5/6"1C1D 国内
DNS SCW-636-BV涂胶机 DNS SCW-636-BV涂胶机 DNS SCW-636-BV 1990 5/6"双轨/PI胶 国内
DNS SP-W813-AS单片旋转腐蚀机 DNS SP-W813-AS单片旋转腐蚀机 DNS SP-W813-AS 1995.05 6"CMP后洗净 国内
DNS LA-820快速退火 DNS LA-820快速退火 DNS LA-820 - 6" 国内
DNS SSW-629-B刷片机 DNS SSW-629-B刷片机 DNS SSW-629-B 1991 6"二流体+超音波 国内
DNS STM-603-PLS膜厚仪 DNS STM-603-PLS膜厚仪 DNS STM-603-PLS - 4/5/6" 国内
EBARA F-REX300 CMP EBARA F-REX300 CMP EBARA F-REX300 2004.09 12" 2014原厂翻新改造 国内
FSM 128NT应力仪 FSM 128NT应力仪 FSM FSM 128NT 2021.10 2-8" 24.04下线 国内
HITACHI S-5200扫描电镜 HITACHI S-5200扫描电镜 HITACHI S-5200 2002.06 - 国内
HITACHI S-4500扫描电镜 HITACHI S-4500扫描电镜 HITACHI S-4500 1996 EDX 国内
HITACHI S-6100扫描电镜 HITACHI S-6100扫描电镜 HITACHI S-6100 1994 6" 国内
HITACHI LS-6000激光表面检查装置 HITACHI LS-6000激光表面检查装置 HITACHI LS-6000 1992.2 6" 国内
HITACHI PD-2000光刻版颗粒检查装置 HITACHI PD-2000光刻版颗粒检查装置 HITACHI PD-2000 1988.12 6" 国内
HITACHI IS-2000 Wafer异物检查装置 HITACHI IS-2000 Wafer异物检查装置 HITACHI IS-2000 1990.8 6" 国内
JEOL JDX-3531 X射线衍射仪XRD JEOL JDX-3531 X射线衍射仪XRD JEOL JDX-3531 2002 - 国内
KEYENCE VU-5500数字显微镜 KEYENCE VU-5500数字显微镜 KEYENCE VU-5500 - 4/5/6" 国内
LAM TE490干法刻蚀机 LAM TE490干法刻蚀机 LAM TE490 - 6" 国内
LAM TE490干法刻蚀机 LAM TE490干法刻蚀机 LAM TE490 - 6" 国内
NIKON NSR-1755G7A光刻机 NIKON NSR-1755G7A光刻机 NIKON NSR-1755G7A 1996 6"24.04下线 国内
NIKON NSR-1505i6光刻机 NIKON NSR-1505i6光刻机 NIKON NSR-1505i6 - 6" 国内
NIKON X6PDF-UBD显微镜 NIKON X6PDF-UBD显微镜 NIKON X6PDF-UBD - 4/5/6" 国内
OLYMPUS BH3-MJL显微镜 OLYMPUS BH3-MJL显微镜 OLYMPUS BH3-MJL 2002 4/5/6" 国内
OLYMPUS MX50显微镜 OLYMPUS MX50显微镜 OLYMPUS MX50 - 4/5/6/8" 国内
PHOTAL FE-3000外观检查仪 PHOTAL FE-3000外观检查仪 PHOTAL FE-3000 - 6" 国内
PVA Tepla 300 AL PC干法去胶机 PVA Tepla 300 AL PC干法去胶机 PVA Tepla 300 AL PC 2003 4/5/6"50片/微波 国内
PVA Tepla 300 AL PC干法去胶机 PVA Tepla 300 AL PC干法去胶机 PVA Tepla 300 AL PC 2003 4/5/6"50片/微波 国内
PVA Tepla 300 AL PC干法去胶机 PVA Tepla 300 AL PC干法去胶机 PVA Tepla 300 AL PC 2003 4/5/6"50片/微波 国内
PVA Tepla 300 AL PC干法去胶机 PVA Tepla 300 AL PC干法去胶机 PVA Tepla 300 AL PC 2003 4/5/6"50片/微波 国内
PVA Tepla 300 AL PC干法去胶机 PVA Tepla 300 AL PC干法去胶机 PVA Tepla 300 AL PC 2003 4/5/6"50片/微波 国内
RUDOLPH NMR3短波长自动椭偏仪 RUDOLPH NMR3短波长自动椭偏仪 RUDOLPH NMR3 1997.05 - 国内
SUSS MA200e光刻机 SUSS MA200e光刻机 SUSS MA200e 2006 4"-8" 国内
SOPRA Gonio bench分光椭偏仪 SOPRA Gonio bench分光椭偏仪 SOPRA Gonio bench 2000.02 - 国内
SEZ FS 103-6单片旋转腐蚀机 SEZ FS 103-6单片旋转腐蚀机 SEZ FS 103-6 1996 6" 国内
SEZ FM101-6-B单片旋转腐蚀机 SEZ FM101-6-B单片旋转腐蚀机 SEZ FM101-6-B 1998 4-6" 国内
TEL MARK-Vz涂胶显影机 TEL MARK-Vz涂胶显影机 TEL MARK-Vz 1997 4/5/6"1C2D 国内
TEL MARK-V涂胶显影机 TEL MARK-V涂胶显影机 TEL MARK-V 1993 4/5/6"1C1D 国内
TEL TE-8500PATC干法刻蚀机 TEL TE-8500PATC干法刻蚀机 TEL TE-8500PATC 1995.11 6"RIE SiO2 Etcher 国内
TEL TE-8500PATC干法刻蚀机 TEL TE-8500PATC干法刻蚀机 TEL TE-8500PATC 1995.11 6"RIE SiO2 Etcher 国内
TEL TE-8500P干法刻蚀机 TEL TE-8500P干法刻蚀机 TEL TE-8500P - 6"RIE SiO2 Etcher 国内
TEL TE-8500PATC干法刻蚀机 TEL TE-8500PATC干法刻蚀机 TEL TE-8500PATC 1993.03 6"RIE SiO2 Etcher 国内
USHIO UMA-802-HC55MT固胶机 USHIO UMA-802-HC55MT固胶机 USHIO UMA-802-HC55MT 2005 6" 国内
ULVAC 304真空检漏仪 ULVAC 304真空检漏仪 ULVAC 304 2000.04 - 国内
TOK TCA-2600低温干法去胶机 TOK TCA-2600低温干法去胶机 TOK TCA-2600 1990.11 6" 国内
DISCO DAG810研磨机 DISCO DAG810研磨机 DISCO DAG810 2003 设备完整不缺件,含税; 国内
DISCO DFG8560研削机 DISCO DFG8560研削机 DISCO DFG8560 2016 设备完整不缺件; 国内
Aixtron Aix 2600G3 TA/TE/TM MOCVD设备 Aixtron Aix 2600G3 TA/TE/TM MOCVD设备 Aixtron Aix 2600G3 TA/TE/TM 2001/02/07 设备完整不缺件,目前台湾仓库有3台现货(TA 2001+TE 20 国内
Aixtron Aix 2800G4 TM MOCVD设备 Aixtron Aix 2800G4 TM MOCVD设备 Aixtron Aix 2800G4 TM 2017 设备完整不缺件(无HDD),年初下线; 国内
JDSU FV-200检测仪 JDSU FV-200检测仪 JDSU FV-200 - 设备完整不缺件,含税; 国内
Nordson 7012332点胶机 Nordson 7012332点胶机 Nordson 7012332 - 设备完整不缺件,含税; 国内
Kulicke & Soffa 4523-AD引线键合机 Kulicke & Soffa 4523-AD引线键合机 Kulicke & Soffa 4523-AD - 设备完整不缺件,含税; 国内
MMM LSIK-B2V VC222烤箱 MMM LSIK-B2V VC222烤箱 MMM LSIK-B2V VC222 - 设备完整不缺件,含税有2台; 国内
Palomar 3500芯片键合机 Palomar 3500芯片键合机 Palomar 3500 - 设备完整不缺件,含税; 国内
Lumentum MC ROSA耦合 Lumentum MC ROSA耦合 Lumentum MC ROSA - 设备完整不缺件,含税; 国内
Benchmark SM8000封盖 Benchmark SM8000封盖 Benchmark SM8000 - 设备完整不缺件,含税; 国内
ASML AT1100光刻机 ASML AT1100光刻机 ASML AT1100 2002 设备完整不缺件,已翻新在台湾;
AT:1100采用1
国内
DISCO DFG850研磨机 DISCO DFG850研磨机 DISCO DFG850 - 设备完整不缺件,Asis含税价; 国内
DNS SU3100晶圆清洗设备 DNS SU3100晶圆清洗设备 DNS SU3100 2008 设备完整不缺件,晶圆300毫米; 国外
DNS SU3200晶圆喷雾清洁器 DNS SU3200晶圆喷雾清洁器 DNS SU3200 2014 12"设备在亚洲,系统已完成,但没有硬盘,原产于三星电子.
工艺
国外
DNS SU3200晶圆喷雾清洁器 DNS SU3200晶圆喷雾清洁器 DNS SU3200 2014 12"设备在亚洲,系统已完成,但没有硬盘,原产于三星电子.
工艺
国外
TEL MARK8涂胶显影机 TEL MARK8涂胶显影机 TEL MARK8 1998 设备完整不缺件,已拆机在日本; 国外
NIKON NSR S204B分步投影光刻机 NIKON NSR S204B分步投影光刻机 NIKON NSR-S204B 2000 韩国在线热机,7.25号下线; 国外
DISCO DFL7161激光开槽机 DISCO DFL7161激光开槽机 DISCO DFL7161 2022.10 设备完整不缺件,基本没怎么用过的准新机,含税价,10月底出售; 国外
TEL UnityIIe-855II刻蚀机 TEL UnityIIe-855II刻蚀机 TEL UnityIIe-855II 1996 设备完整不缺件,在日本仓库; 国外
TEL MARK7涂胶显影机 TEL MARK7涂胶显影机 TEL MARK7 2000 设备完整不缺件,在线热机在日本(1C 3D WEE); 国外
RUDOLPH CV9812晶圆载具检测 RUDOLPH CV9812晶圆载具检测 RUDOLPH CV9812 - 在线热机在亚洲,设备完整不缺件; 国外
HITACHI S-8840扫描电子显微镜 HITACHI S-8840扫描电子显微镜 HITACHI S-8840 1999 设备完整不缺件,已翻新好的.9117-08 国外
HITACHI S-9380扫描电子显微镜 HITACHI S-9380扫描电子显微镜 HITACHI S-9380 2007 设备完整不缺件; 国外
DISCO DAD321划片机 DISCO DAD321划片机 DISCO DAD321 2004 在线热机在台湾,有11台现货打包卖,其中两台是2006; 国内
NIKON NSR 1755i7A光刻机 NIKON NSR 1755i7A光刻机 NIKON NSR-1755i7A 1989 设备完整不缺件,含安装调试; 国内
NIKON NSR S204B分步投影光刻机 NIKON NSR S204B分步投影光刻机 NIKON NSR-S204B 2001 国内在线热机,完全初始化状态,激光器cymer; 国内
AMAT mirra MESA CMP AMAT mirra MESA CMP AMAT mirra MESA CMP 2002 设备完整不缺件,已翻新在国内; 国外
EBARA F-REX300 CMP化学机械研磨 EBARA F-REX300 CMP化学机械研磨 EBARA F-REX300 CMP 2004 设备完整不缺件12",2014年原厂翻新改造后旭硝子研发用; 国内
LAM 2300 Exelan Flex蚀刻机 LAM 2300 Exelan Flex蚀刻机 LAM 2300 Exelan Flex - 4室Ath-1600涡轮增压+交流箱; 国内
AMAT Endura II气相沉积 AMAT Endura II气相沉积 AMAT Endura II - 有2台现货; 国内
AMAT Endura CL Mainframe气相沉积 AMAT Endura CL Mainframe气相沉积 AMAT Endura CL Mainframe - 1台主机+IMP舱室+2个舱室+1台EFEM; 国内
AMAT CENTRIS MESA ETCH沉积蚀刻 AMAT CENTRIS MESA ETCH沉积蚀刻 AMAT CENTRIS MESA ETCH - 有2台现货(1个主机+3个双室,射频机器人涡轮配件齐); 国内
DISCO DFL7340全自动激光切割机	DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011 在线热机,设备完整不缺件,还有2台现货; 国内
ULVAC EI-5蒸发台 ULVAC EI-5蒸发台 ULVAC EI-5 2007 设备完整不缺件,在线热机可做验证片; 国内
CANON BESTEM-D321 Plus固晶机 CANON BESTEM-D321 Plus固晶机 CANON BESTEM-D321 Plus 2016 设备完整不缺件,有3台现货在台湾,不拆硬盘; 国内
DISCO DGP8761研磨机+DFM2800贴膜机 DISCO DGP8761研磨机+DFM2800贴膜机 DISCO DGP8761+DFM2800 2012 设备完整不缺件,在韩国; 国外
LAM C2 Triple SPEED气相沉积 LAM C2 Triple SPEED气相沉积 LAM C2 Triple SPEED - 设备完整不缺件; 国外
DISCO DFD6361划片机 DISCO DFD6361划片机 DISCO DFD6361 2004 设备完整不缺件,有2台现货,带集尘机/二氧化碳机/DTU-1531 国外
ADT 7122切割机 ADT 7122切割机 ADT(K&S) 7122 2018 设备完整不缺件,99成新;2"直流无刷,1.2 kW,前置挂载,空 国内
DISCO DAD3350晶圆切割机 DISCO DAD3350晶圆切割机 DISCO DAD3350 2021 设备完整不缺件,有2台; 国外
HITACHI S-8820扫描电子显微镜 HITACHI S-8820扫描电子显微镜 HITACHI S-8820 - 设备完整不缺件; 国外
HITACHI S-4100扫描电子显微镜 HITACHI S-4100扫描电子显微镜 HITACHI S-4100 1992 设备完整不缺件,设备在日本刚下线; 国外
EMCRNFTS G-1000扫描电镜 EMCRNFTS G-1000扫描电镜 EMCRNFTS G-1000 2014 设备完整不缺件; 国内
AG Heatpulse 8108快速退火炉 AG Heatpulse 8108快速退火炉 AG Heatpulse 8108 - 设备完整不缺件,设备已翻新好,目前在美国,7月底到国内,报价为硅基 国外
DISCO DFL7361激光划片机 DISCO DFL7361激光划片机 DISCO DFL7361 2017/19 设备完整不缺件,设备目前在亚洲,有2台现货,含到中国价; 国外
HITACHI CG6300高解析度FEB测量装置 HITACHI CG6300高解析度FEB测量装置 HITACHI CG6300 2017 设备完整不缺件; 国外
DISCO DFL7020划片机 DISCO DFL7020划片机 DISCO DFL7020 - 设备完整不缺件,有3台现货; 国内
AMAT Endura II PVD 9个腔室气相沉积 AMAT Endura II PVD 9个腔室气相沉积 AMAT Endura II PVD 9 2007 设备完整不缺件,目前设备在韩国仓库,12";
AL 2室、TTN
国外
AMAT Endura II PVD 8个腔室气相沉积 AMAT Endura II PVD 8个腔室气相沉积 AMAT Endura II PVD 8 2007 设备完整不缺件,目前设备在韩国仓库,12";
SIP 1室、AL
国外
ACCRETECH UF3000EX探针台 ACCRETECH UF3000EX探针台 ACCRETECH UF3000EX 2007 设备完整不缺件,带冷冻器探测器,设备目前在亚洲;ARTS- 国外
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2010 设备完整不缺件,3台在日本,M-Code:782200; 国外
TEL ACT8(2c2d)涂胶显影机 TEL ACT8(2c2d)涂胶显影机 TEL ACT8 2003 设备完整不缺件; 国外
DISCO DFD6361切割机 DISCO DFD6361切割机 DISCO DFD6361 2010 设备完整不缺件,在日本; 国外
DISCO DFL7020划片机 DISCO DFL7020划片机 DISCO DFL7020 2010 设备完整不缺件,有2台现货; 国内
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011 设备完整不缺件,M-Code:259000; 国内
DISCO DAD322晶圆切割机 DISCO DAD322晶圆切割机 DISCO DAD322 2009/11 设备完整不缺件,有5台现货; 国内
DISCO DFL7340全自动激光切割机 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011 设备完整不缺件,有2台现货,装的大族系统(可修改)M-Code:7 国内
DISCO DFD6360划片机 DISCO DFD6360划片机 DISCO DFD6360 2004 设备完整不缺件,有2台现货; 国外
ASM PLASMA III PECVD ASM PLASMA III PECVD ASM PLASMA III - 设备完整不缺件,在线热机可做验证片.深圳普仕曼 国内
TEL P-12XL探针台 TEL P-12XL探针台 TEL P-12XL 2005 设备完整不缺件12",有4台+2台chiller现货在台湾,不必打 国内
HITACHI DB-730AC固晶机 HITACHI DB-730AC固晶机 HITACHI DB-730AC - 设备完整不缺件,有4台现货在台湾,不拆硬盘. 国内
ASML XT1250D光刻机 ASML XT1250D光刻机 ASML XT1250D - 设备完整不缺件; 国外
DISCO DAG810研磨机 DISCO DAG810研磨机 DISCO DAG810 - 设备完整不缺件,有现货1台; 国内
DISCO DFG841研磨机 DISCO DFG841研磨机 DISCO DFG841 - 设备完整不缺件,有现货2台; 国内
DISCO DFG850研磨机 DISCO DFG850研磨机 DISCO DFG850 - 设备完整不缺件,已翻新好的热机,有现货3台; 国内
DISCO DFG8540研磨机 DISCO DFG8540研磨机 DISCO DFG8540 - 设备完整不缺件,有现货2台; 国内
DISCO DFD641划片机 DISCO DFD641划片机 DISCO DFD641 - 设备完整不缺件,有现货2台; 国内
DISCO DFD681划片机 DISCO DFD681划片机 DISCO DFD681 - 设备完整不缺件,有现货2台; 国内
HITACHI S-8840扫描电子显微镜 HITACHI S-8840扫描电子显微镜 HITACHI S-8840 - 设备完整不缺件,有现货2台; 国内
HITACHI S-6280H测试SEM HITACHI S-6280H测试SEM HITACHI S-6280H - 设备完整不缺件,有现货1台; 国内
HITACHI SPC-500B Plasma Cleaner HITACHI SPC-500B Plasma Cleaner HITACHI SPC-500B - 设备完整不缺件,有现货1台; 国内
HITACHI E0-216L1S Pressure Oven烤箱 HITACHI E0-216L1S Pressure Oven烤箱 HITACHI E0-216L1S - 设备完整不缺件,有现货1台; 国内
IMV i220/SA1M Dynamic-Vibrate simulation IMV i220/SA1M Dynamic-Vibrate simulation IMV i220/SA1M - 设备完整不缺件,有现货1台; 国内
KLA P15轮廓仪 KLA P15轮廓仪 KLA P15 - 设备完整不缺件,有现货1台; 国内
KLA RS55方阻测试 KLA RS55方阻测试 KLA RS55 - 设备完整不缺件,有现货2台; 国内
KLA Tencor SFS6420晶圆检测 KLA Tencor SFS6420晶圆检测 KLA SFS6420 1995 设备完整不缺件,有现货1台; 国内
KLA KLA2132缺陷检测测 KLA KLA2132缺陷检测测 KLA KLA2132 - 设备完整不缺件,有现货1台; 国内
KLA KLA2135缺陷检测 KLA KLA2135缺陷检测 KLA KLA2135 - 在线热机,设备完整不缺件; 国内
KOSAKA SE-30D Surface roughness KOSAKA SE-30D Surface roughness KOSAKA SE-30D - 设备完整不缺件,有现货1台; 国内
KYOSHIN 6570MAH Pressure Oven KYOSHIN 6570MAH Pressure Oven KYOSHIN 6570MAH - 设备完整不缺件,有现货2台; 国内
LINTEC RAD-3500F8/F12 Wafer Taping LINTEC RAD-3500F8/F12 Wafer Taping LINTEC RAD-3500F8/F12 - 设备完整不缺件,有现货2台; 国内
LINTEC RAD-2500F/8 Wafer Mounter LINTEC RAD-2500F/8 Wafer Mounter LINTEC RAD-2500F/8 - 设备完整不缺件,有现货1台; 国内
LINTEC RAD-2500m/8 Wafer Mounter LINTEC RAD-2500m/8 Wafer Mounter LINTEC RAD-2500m/8 - 设备完整不缺件,有现货4台; 国内
LINTEC RAD-2500m/12IPC Wafer Mounter LINTEC RAD-2500m/12IPC Wafer Mounter LINTEC RAD-2500m/12IPC - 设备完整不缺件,有现货1台; 国内
LINTEC RAD-3000F/8 De-Taping LINTEC RAD-3000F/8 De-Taping LINTEC RAD-3000F/8 - 设备完整不缺件,有现货1台; 国内
LINTEC RAD-3010F/12 De-Taping LINTEC RAD-3010F/12 De-Taping LINTEC RAD-3010F/12 - 设备完整不缺件,有现货1台; 国内
LINTEC RAD2000F/12 UV lrradiation LINTEC RAD2000F/12 UV lrradiation LINTEC RAD2000F/12 - 设备完整不缺件,有现货1台; 国内
LINTEC RAD2000F/8 UV lrradiation LINTEC RAD2000F/8 UV lrradiation LINTEC RAD2000F/8 - 设备完整不缺件,有现货1台; 国内
MIT OPTIMUS M2 MIT OPTIMUS M2 MIT OPTIMUS M2 - 设备完整不缺件,有现货1台; 国内
Nanometrics M3000膜厚仪 Nanometrics M3000膜厚仪 Nanometrics M3000 - 设备完整不缺件,有现货1台; 国内
NIITO PL55TRM-LF PCB Tape NIITO PL55TRM-LF PCB Tape NIITO PL55TRM-LF - 设备完整不缺件,有现货1台; 国内
NIKON L200显微镜 NIKON L200显微镜 NIKON L200 - 设备完整不缺件,有现货1台带电竞平台; 国内
NIKON L300显微镜 NIKON L300显微镜 NIKON L300 - 设备完整不缺件,有现货5台; 国内
NITTO DR8500 II BG Taper NITTO DR8500 II BG Taper NITTO DR8500 II - 设备完整不缺件,有现货1台; 国内
NITTO DENKO UA8303 UV lrradiation NITTO DENKO UA8303 UV lrradiation NITTO DENKO UA8303 - 设备完整不缺件,有现货1台; 国内
Olympus MX-50A-F Micro Scope Olympus MX-50A-F Micro Scope Olympus MX-50A-F - 设备完整不缺件,有现货2台; 国内
Olympus BH2-UMA Universal Measure Olympus BH2-UMA Universal Measure Olympus BH2-UMA - 设备完整不缺件,有现货1台; 国内
PANASONIC FCB3 FC Bonder PANASONIC FCB3 FC Bonder PANASONIC FCB3 - 设备完整不缺件,有现货2台; 国内
RION KC-20 Particle Counter RION KC-20 Particle Counter RION KC-20 - 设备完整不缺件,有现货1台; 国内
RION KM-27 Particle Counter RION KM-27 Particle Counter RION KM-27 - 设备完整不缺件,有现货1台; 国内
SATO SR412+CT-2 Printer SATO SR412+CT-2 Printer SATO SR412+CT-2 - 设备完整不缺件,有现货4台; 国内
SHIBUYA SBM351 Ball Mounter SHIBUYA SBM351 Ball Mounter SHIBUYA SBM351 - 设备完整不缺件,有现货1台; 国内
Shimadzu AEU-210 Digital gravimeter Shimadzu AEU-210 Digital gravimeter Shimadzu AEU-210 - 设备完整不缺件,有现货1台; 国内
SMS TSM 3000W-RCZ粗糙度测量仪 SMS TSM 3000W-RCZ粗糙度测量仪 SMS TSM 3000W-RCZ - 设备完整不缺件,有现货1台; 国内
TABAI PS-232 OVEN TABAI PS-232 OVEN TABAI PS-232 - 设备完整不缺件,有现货1台; 国内
TAKATORI ATM1100E Wafer Taping TAKATORI ATM1100E Wafer Taping TAKATORI ATM1100E - 设备完整不缺件,有现货1台; 国内
TAKATORI ATM-8200 TAKATORI ATM-8200 TAKATORI ATM-8200 - 设备完整不缺件,有现货1台; 国内
TAKATORI DM-800A/800B BG Taper TAKATORI DM-800A/800B BG Taper TAKATORI DM-800A/800B - 设备完整不缺件,有现货2台; 国内
TAKATORI ATRM2100D De-Taping TAKATORI ATRM2100D De-Taping TAKATORI ATRM2100D - 设备完整不缺件,有现货1台; 国内
Taylor Hobson CCI MP-HS 3D Surface Measure Taylor Hobson CCI MP-HS 3D Surface Measu Taylor Hobson CCI MP-HS - 设备完整不缺件,有现货1台; 国内
TECHWING TW250HT Handler TECHWING TW250HT Handler TECHWING TW250HT - 设备完整不缺件,有现货1台; 国内
TEL P-8XL Prober探针台 TEL P-8XL Prober探针台 TEL P-8XL - 设备完整不缺件,有现货23台; 国内
TEL P-8 Prober探针台 TEL P-8 Prober探针台 TEL P-8 - 设备完整不缺件,有现货17台; 国内
TEL P-12xln/xlm Prober探针台 TEL P-12xln/xlm Prober探针台 TEL P-12xln/xlm - 设备完整不缺件,有现货5台; 国内
Trek M158 Charge plate monitor Trek M158 Charge plate monitor Trek M158 - 设备完整不缺件,有现货1台; 国内
TSK HRG200X研磨机 TSK HRG200X研磨机 TSK HRG200X - 设备完整不缺件,有现货1台; 国内
TSK A-WD-300T Wafer Saw TSK A-WD-300T Wafer Saw TSK A-WD-300T - 设备完整不缺件,有现货2台; 国内
TSK UF200探针台Prober TSK UF200探针台Prober TSK UF200 - 设备完整不缺件,有现货4台; 国内
TSK UF200SA探针台Prober TSK UF200SA探针台Prober TSK UF200SA - 设备完整不缺件,有现货1台; 国内
TSK A-PM-90A探针台Prober TSK A-PM-90A探针台Prober TSK A-PM-90A - 设备完整不缺件,有现货6台; 国内
YAMADA SH041 Sorter分选机 YAMADA SH041 Sorter分选机 YAMADA SH041 - 设备完整不缺件,有现货7台; 国内
YASUNAGA LI2000 IC Inspector YASUNAGA LI2000 IC Inspector YASUNAGA LI2000 - 设备完整不缺件,有现货5台; 国内
YUTAKA PTE IV301P Sealer YUTAKA PTE IV301P Sealer YUTAKA PTE IV301P - 设备完整不缺件,有现货3台; 国内
ZABRA 140Xi4 TM Printer ZABRA 140Xi4 TM Printer ZABRA 140Xi4 TM - 设备完整不缺件,有现货1台; 国内
CSUN MOL-2DS OVEN CSUN MOL-2DS OVEN 台湾CSUN志圣 MOL-2DS - 设备完整不缺件,有现货1台; 国内
AMAT P5000刻蚀机 AMAT P5000刻蚀机 AMAT P5000 - 设备完整不缺件,有现货1台; 国内
AR BROWN SM-105-MP Falling impact tester AR BROWN SM-105-MP Falling impact tester AR BROWN SM-105-MP - 设备完整不缺件,有现货1台; 国内
ASYMTEK X1020 Dispenser ASYMTEK X1020 Dispenser ASYMTEK X1020 - 设备完整不缺件,有现货1台; 国内
ATI WIND AWIS-1200 Wafer Inspector ATI WIND AWIS-1200 Wafer Inspector ATI WIND AWIS-1200 - 设备完整不缺件,有现货1台; 国内
BEST BPA-800-SS探针台 BEST BPA-800-SS探针台 BEST BPA-800-SS - 设备完整不缺件,有现货1台; 国内
CANON CAP-3500晶片分选机 CANON CAP-3500晶片分选机 CANON CAP-3500 - 设备完整不缺件,有现货1台; 国内
Dionex DX-320 Ion Chromatography Dionex DX-320 Ion Chromatography Dionex DX-320 - 设备完整不缺件,有现货1台; 国内
AMAT Centura 5200刻蚀机 AMAT Centura 5200刻蚀机 AMAT Centura 5200 - 设备完整不缺件,8英寸3腔表观系统. 国外
HITACHI S-5000扫描电子显微镜 HITACHI S-5000扫描电子显微镜 HITACHI S-5000 1999 设备完整不缺件; 国外
ACCRETECH UF3000EXE探针台 ACCRETECH UF3000EXE探针台 ACCRETECH UF3000EXE 2011/17 多台在线热机在韩国,设备完整不缺件,含拆机(没有低温).
尺寸:
国外
HITACHI S-9260扫描电子显微镜 HITACHI S-9260扫描电子显微镜 HITACHI S-9260 2009 设备完整不缺件,由hitachi原厂deinstall. 国外
DISCO DFL7160激光切割机 DISCO DFL7160激光切割机 DISCO DFL7160 2009 设备完整不缺件; 国外

页次: 1 / 21页 每页:200 设备数:4109   9[1][2][3][4][5][6][7][8][9][10]8: 总共有21页

二手半导体设备买卖-二手半导体设备翻新-二手半导体设备采购平台semi1688.com
城市分站:北京龙玺精密 | 上海龙玺精密 | 深圳龙玺精密 | 成都龙玺精密 | 天津 | 香港 | 澳门 | 安徽 | 福建 | 广东 | 广西 | 贵州 | 甘肃 | 海南 | 河北 | 河南 | 黑龙江 | 湖北 | 湖南 | 吉林 | 江苏 | 江西 | 辽宁 | 内蒙古 | 宁夏 | 青海 | 陕西 | 山西 | 山东 | 四川 | 台湾 | 西藏 | 新疆 | 云南 | 浙江 | japan longxi | korea longxi | USA longxi
 
关于我们 | 产品中心 | 新闻资讯 | 非标定制 | 技术服务 | 联系我们 | 网站地图 | 二手半导体设备采购平台
地址:宁波市奉化区东峰路80号or江苏徐州市贾汪区工业园 电话:18868521984龙先生
邮箱:ljb929@126.com 注:设备状态不定期更新,是否已售出请咨询。
    设备咨询,请加我微信
Copyright © 2019-2024 龙玺精密 版权所有 备案号:浙ICP备2021036227号
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机和抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手定制|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|二手半导体设备|半导体二手设备|二手半导体设备交易|二手半导体设备市场|二手半导体设备平台|二手半导体设备网站|二手半导体设备公司|二手半导体设备翻新|二手半导体设备维护|二手半导体设备进口|二手半导体设备回收|国外二手半导体设备|国内二手半导体设备|二手半导体设备供应商|二手半导体设备交易平台|二手半导体设备交易平台semi1688.com|二手半导体设备采购平台semi1688.com|切割机|划片机|光刻机|检测仪|测试仪|扫描电镜|蚀刻机|探针台|二手半导体设备|二手CANON设备|二手NIKON设备|二手DISCO设备|二手ASML设备|二手AMAT设备|二手TEL设备|二手LAM设备|二手KLA设备|二手Semics设备|二手Hitachi设备
VGF单晶炉|高压VGF单晶炉|VTM单晶炉|SIC单晶炉|多晶合成炉|平面抛光机|平面研磨机|双面研磨机|双面抛光机|曲面抛光机|槽式清洗机|第二代半导体材料全自动清洗机|GASS立式甩干机|多工位甩干机|高温退火炉|低温立式炉|ALD原子层沉积设备|真空封焊炉|磁控溅射镀膜机|高真空多靶磁控溅射镀膜机|卷绕镀膜系统|电阻蒸发镀膜机|电子束蒸发镀膜机|机械手|手套箱|晶体生长设备|研磨抛光设备|材料清洗设备|真空镀膜设备|其他定制设备|龙玺精密|国内二手设备|国外二手设备|二手半导体设备|二手设备买卖|二手设备翻新|二手设备回收|CANON二手设备|NIKON二手设备|DISCO二手设备|ASML二手设备|AMAT二手设备|TEL二手设备|LAM二手设备|KLA二手设备|SEMICS二手设备|HITACHI二手设备|芯片|晶圆|封装|半导体|切割机|划片机|光刻机|检测仪|测试仪|半导体设备|半导体封装|扫描电镜|蚀刻机|探针台|扩散炉