二手半导体设备买卖翻新+半导体工艺设备非标定制.18868521984龙先生
2024-5-20国外二手设备列表
关键字  
首页 CANON NIKON DISCO ASML AMAT TEL LAM KLA SEMICS HITACHI YOKOGAWA
ID 设备名称 制造商 型号 年份 详细配置 状 态
3914 DISCO DFL7160激光切割机 DISCO DFL7160 2009 设备完整不缺件; 国外
3912 DISCO DFG8540研磨机 DISCO DFG8540 - - 国外
3911 LAM 2300 Exelan Flex45 ICP蚀刻机 LAM 2300 Exelan Flex45 - 设备型号:2300 Exela 国外
3910 NIKON NSR SF140光刻机 NIKON NSR-SF140 - 设备完整不缺件,原来的晶圆是1 国外
3909 NIKON NSR SF130光刻机 NIKON NSR-SF130 - 在洁净室翻新中,完全初始化条件 国外
3906 ACCRETECH TSK UF3000探针台 ACCRETECH UF3000 - 在线热机,完整不缺件,设备在美 国外
3905 ACCRETECH TSK UF2000探针台 ACCRETECH UF2000 - 在线热机,完整不缺件,设备在美 国外
3904 TEL P-8 Prober探针台 TEL P-8 - - 国外
3903 TEL P-8XL Prober探针台 TEL P-8XL - - 国外
3902 TERADYNE IP750EX测试系统 TERADYNE IP750EX 2012 - 国外
3901 DISCO DFG850研磨机 DISCO DFG850 2000 设备完整不缺件。 国外
3900 SAMCO RlE-200C蚀刻机 SAMCO RlE-200C - 全新未使用设备,因为计划终止未 国外
3899 TAKATORI ATRM-2200G撕膜机 TAKATORI ATRM-2200G - - 国外
3898 OKAMOTO SPP-600S研磨机 OKAMOTO SPP-600S - - 国外
3896 KLA Tencor CS10 R表面分析仪 KLA CS10 R - - 国外
3895 KLA Tencor SFS6420晶圆检测 KLA SFS6420 - - 国外
3894 KLA Tencor 8720芯片检测系统 KLA Tencor 8720 2017 设备完整不缺件,在亚洲。 国外
3893 LAM RAINBOW 4520XL干法刻蚀机 LAM 4520XL - - 国外
3891 HITACHI S4800 II扫描电子显微镜 HITACHI S4800 II - - 国外
3890 CANON FPA-3000i5步进式光刻机 CANON FPA-3000i5 1997 拆卸前处于工作状态,设备有2台 国外
3889 CANON FPA-3000i4步进式光刻机 CANON FPA-3000i4 1994 拆卸前处于工作状态,设备在亚洲 国外
3888 KLA Tencor Candela 8620晶圆检测系统 KLA Tencor 8620 - - 国外
3886 KLA SURFSCAN SFS6200晶圆检测系统 KLA SURFSCAN SFS6200 1994 设备完整不缺件,已翻新好在美国 国外
3885 NIKON NSR 2205i14E2光刻机 NIKON NSR-2205i14E2 - 设备完整不缺件,在亚洲。 国外
3884 NIKON NSR 2205i14E2光刻机 NIKON NSR-2205i14E2 - 设备已翻新,在韩国。 国外
3880 CANON FPA-2500i2步进式光刻机 CANON FPA-2500i2 - - 国外
3879 HITACHI S-3400N变压扫描电镜 HITACHI S-3400N - - 国外
3878 DISCO DFD6362划片机 DISCO DFD6362 - 有16台,可单台销售。 国外
3877 DISCO DGP8761研磨机 DISCO DGP8761 - 有4台单机版,可单台销售。 国外
3872 STS Multiple ICP感应耦合等离子刻蚀机 STS Multiple ICP 2002 - 国外
3871 STS Multiple ICP感应耦合等离子刻蚀机 STS Multiple ICP 2000 - 国外
3870 DISCO DFL7020划线切割机 DISCO DFL7020 - - 国外
3869 NIKON NSR 207D光刻机 NIKON NSR 207D - 在线热机 国外
3867 DISCO DFD6340划片机 DISCO DFD6340 - 日本有10台 国外
3866 DISCO DFD6341划片机 DISCO DFD6341 - 日本有10台 国外
3865 Aixtron Aix 2600 G3 MOCVD设备 Aixtron Aix 2600 G3 2007 完整不缺件,设备在亚洲(4 I 国外
3864 ACCRETECH TSK UF3000探针台 ACCRETECH UF3000 2016 初始化通过状态,有8台。 国外
3863 SAMCO RIE NR-10等离子蚀刻 SAMCO RIE NR-10 2011 - 国外
3861 NIKON OPTISTATION 3200晶圆检测 NIKON OPTISTATION-3200 2022 有2台 国外
3860 NIKON NSR 4425i光刻机 NIKON NSR-4425i - 已翻新 国外
3859 Ultratech 1500步进式光刻机 Ultratech 1500 - 6"已下线,12台在美国。 国外
3858 DISCO DAD3231半自动切割机 DISCO DAD3231 - - 国外
3857 KLA Tencor 8720缺陷检测机 KLA 8720 2017 美国在线热机,用于4英寸和6英 国外
3856 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2011 - 国外
3855 KARL SUSS ACS200G3涂胶显影机 SUSS ACS200G3 2017 第1台: 4xDevelope 国外
3848 ASML AT850C光刻机 ASML AT850C 2004 已拆卸在洁净室中,晶圆300m 国外
3847 KLA Surfscan SP1晶圆检测系统 KLA科磊 SP1 2007 TBI翻新掩模和晶圆检测
3
国外
3846 KLA Surfscan SP2晶圆检测系统 KLA科磊 SP2 2007 在线热机,300毫米掩模和晶圆 国外
3845 NIKON NSR 2205i10D光刻机 NIKON NSR-2205i10D - - 国外
3844 DISCO DFL7340全自动激光切割机 DISCO DFL7340 2015 包含两个2019年的OKK O 国外
3843 DISCO DFD6362划片机 DISCO DFD6362 2016 有2台,可以单台出价。 国外
3842 DISCO DFD6240划片机 DISCO DFD6240 2007 - 国外
3841 MRC MK-IV PVD磁控溅射 MRC MK-IV PVD 2001 台湾仓库中 国外
3838 CANON FPA-2500i3步进式光刻机 CANON FPA-2500i3 90年代 美国在线热机,有2台 国外
3837 CANON FPA-3000i4步进式光刻机 CANON FPA-3000i4 1998 美国线上热机,完整不缺件;
国外
3836 NIKON NSR 2205i12D光刻机 NIKON NSR-2205i12D 1997 现状机,6英寸,HDD,亚洲仓 国外
3835 NIKON NSR 2205i12D光刻机 NIKON NSR-2205i12D 1999 初始条件价格,翻新加10万美元 国外
3833 ASM AMICRA NANO固晶机 ASM NANO 2021 - 国外
3832 KLA Tencor SFS6420晶圆检测 KLA科磊 SFS6420 1995 完整机 国外
3831 DISCO DFS8910表面平坦机 DISCO DFS8910 2017 美国热机200mm 国外
3830 DISCO DFD6362划片机 DISCO DFD6362 2016 美国完整机 国外
3829 ACCRETECH UF3000EXE探针台 ACCRETECH东京精密 UF3000EXE 2016 韩国5台在线热机8-12"(包 国外
3828 KLA Tencor UV-1280SE薄膜测量系统 KLA UV1280SE 2001 ‧用途:薄膜测量系 国外
3826 KLA Surfscan SP1 TBI颗粒测量仪 KLA科磊 SP1 - 已翻新 国外
3825 LAM RAINBOW 4520XL干法刻蚀机 LAM泛林 4520XL 2000 热机运行中,但风扇需要更换。 国外
3824 DISCO DAD3350晶圆切割机 DISCO DAD3350 2007 热机,定位精度为.001毫米或 国外
3823 DISCO DFD6340全自动切割机 DISCO DFD6340 2008 热机 国外
3821 NIKON NSR 2005i8A光刻机 NIKON NSR-2005i8A 2015 有3台,全买每台减8万美元 国外
3820 DISCO DFL7560L激光切割机 DISCO DFL7560 2017 2020年进行了翻新;
国外
3819 DISCO DAD651划片机 DISCO DAD651 - 有3台 国外
3818 Aixtron Aix 2800G4 HT MOCVD设备 Aixtron Aix 2800G4 HT 2010 - 国外
3817 OKAMOTO GNX200研磨机 OKAMOTO GNX200 2000 6-8"线上完整机。 国外
3816 DISCO DAD3350晶圆切割机 DISCO DAD3350 2008 年份:2011*1/2008* 国外
3815 DISCO DFG840研磨机 DISCO DFG840 1996 不包括显示系统和显示器。 国外
3814 DISCO DCS1440晶圆清洗机 DISCO DCS1440 2008 6" 国外
3813 DISCO DFD6340全自动切割机 DISCO DFD6340 2012 带8寸工作台 国外
3812 DISCO DAD3240划片机 DISCO DAD3240 2013 - 国外
3807 EVG810LT低温键合机 EVG 810LT 2009 220V, 100A, 60H 国外
3806 CANON FPA-3000i5步进式光刻机 CANON FPA-3000i5 1997 6"韩国As is 国外
3805 DISCO DGP8761减薄机 DISCO DGP8761 2010 单机(不是一体联机),不拆硬盘 国外
3804 KLA VISTEC LEICA INS3000侧扫声纳 KLA科磊 INS3000 1999 有2台,月底卖掉.. 国外
3803 KLA Tencor RS-75薄膜测量系统 KLA科磊 RS-75 - - 国外
3802 NIKON NSR S204B分步投影光刻机 NIKON NSR-S204B 2002 欧洲,待出价 国外
3800 KLA SURFSCAN SFS6220颗粒检测仪 KLA科磊 SFS6220 2000 竞标中.. 国外
3799 KLA Tencor 6400晶圆检测设备 KLA科磊 6400 1994 竞标中.. 国外
3798 AMAT P5000刻蚀机 AMAT应用材料 P5000 1996 CVD 3chamber;ni 国外
3797 ADT 7100划片机 ADT(K&S) 7100 - 2台, 机况正常.. 国外
3796 DISCO DFG841研磨机 DISCO DFG841 - 美国 国外
3795 HITACHI S-9220扫描电子显微镜 HITACHI S-9220 - - 国外
3794 DISCO DFG8560研磨机 DISCO DFG8560 - 01.30前出价,不拆硬盘。 国外
3793 DISCO DGP8760研磨机 DISCO DGP8760 2006 8/12寸兼容, 不拆硬盘。 国外
3792 DISCO DFD6560划片机 DISCO DFD6560 2018 带方形卡盘工作台,用于包装切单 国外
3791 DISCO DFG840研磨机 DISCO DFG840 1996 不拆硬盘,不带显示器,招标中; 国外
3789 NIKON NSR 2205i12D光刻机 NIKON NSR-2205i12D 1997 热机 国外
3787 ACCRETECH TSK UF2000探针台 ACCRETECH东京精密 UF2000 2007 设备有2台,可单卖或打包卖(在 国外
3785 OKAMOTO GNX200研磨机 OKAMOTO GNX200 2002 - 国外
3783 ASML PAS 5500/1150C光刻机 ASML 5500/1150C 2003.06 - 国外
3782 KLA Tencor 7700缺陷测试仪 KLA科磊 Tencor-7700 1997 - 国外
3781 SCM-A320全自动激光切割机 SCM A320 - 全自动激光切割系统,将指纹传感 国外
3780 DISCO DGP8761减薄机 DISCO DGP8761 2020 只使用了250小时的准新机,带 国外
3779 ACCRETECH AD3000T-PLUS划片机 ACCRETECH东京精密 AD3000T-PLUS 2020 12" 国外
3777 KLA SURFSCAN SFS6200晶圆检测系统 KLA科磊 SFS6200 1995 The Surfscan 62 国外
3776 KLA SURFSCAN 4500颗粒检测仪 KLA科磊 4500 - 4" 国外
3775 KLA SURFSCAN SP3晶圆缺陷检测 KLA科磊 SP3 2014 在线热机,2月份拆机。 国外
3774 NIKON NSR 2205i12D光刻机 NIKON NSR-2205i12D - - 国外
3373 Aixtron Aix 2800 G4 HT MOCVD设备 Aixtron Aix 2800G4 HT - 该系统在拆卸之前功能齐全,但目 国外
3372 Mattson AST3000退火炉 Mattson AST3000退火炉 2006 - 国外
2895 Accord Cleaner清洗机 Accord 400 - 1 国外
2894 ASM Wire bonder焊线机 ASM iHawk Xtreme - 6 国外
2893 ASM Die bonder固晶机 ASM AD838 - 2 国外
2892 ASM Wire bonder焊线机 ASM iHawk Xtreme - 4 国外
2891 CEPHEUS仕宇Taper手动贴膜机 CEPHEUS仕宇 FM610 - 1 国外
2890 DISCO DCS141清洗机 DISCO DCS141 - 1 国外
2889 DISCO DTU-152冰水机 DISCO DTU-152 - 2 国外
2888 DISCO DAD2H6划片机 DISCO DAD2H6 - 1 国外
2887 DISCO DAD321划片机 DISCO DAD321 - 3 国外
2886 DISCO DAD341划片机 DISCO DAD341 - 5 国外
2885 DISCO DGP8760主轴 DISCO DGP8760 - 1 国外
2884 DISCO DFD651划片机 DISCO DFD651 - 1 国外
2883 DISCO DFD6360划片机 DISCO DFD6360 - 2 国外
2882 DISCO DTU170冰水机 DISCO DTU170 - 1 国外
2881 DISCO DAD321划片机 DISCO DAD321 - 1 国外
2880 DISCO DFG8540 Z1 研磨板(new) DISCO BVDS0120 - 7 国外
2879 DISCO DFG8540 Z1 研磨板(used) DISCO BVDS0120 - 3 国外
2878 DISCO DFG8540研磨机 DISCO DFG8540 - 18 国外
2877 DISCO DFG8540研磨机 DISCO DFG8540 - 7 国外
2876 DISCO DFG8540研磨机 DISCO DFG8540 - 7 国外
2875 Transformer变压器 ELME transformer - 4 国外
2874 EO tech CSM3002FC雷射盖印机 EO tech CSM3002FC - 1 国外
2873 Giant Force巨孚高温恒温机 Giant Force巨孚 NA - 2 国外
2872 GPM KS-340 Die sorter晶粒挑拣机 GPM KS-340 - 12 国外
2871 Hermes EC-250S CO2 bubbler产生器 Hermes EC-250S - 1 国外
2870 HITACHI DB730-AC固晶机 HITACHI DB730-AC - 4 国外
2869 KLA CI-T130 lead scanner扫脚机 KLA科磊 CI-T130 - 1 国外
2868 KLA CI-T130 Lead scanner扫脚机 KLA科磊 CI-T130 - 2 国外
2867 KLA CI-T130 Lead scanner扫脚机 KLA科磊 CI-T120 - 3 国外
2866 KLA CI-T130 Lead scanner扫脚机 KLA科磊 CI-T130 - 1 国外
2865 KLA CI-T130 Lead scanner扫脚机 KLA科磊 CI-T130 - 4 国外
2864 KLA CI-T830 Lead scanner扫脚机 KLA科磊 CI-T830 - 1 国外
2863 KLA Industrial Camera工业相机 KLA科磊 IVC-1600 - 2 国外
2862 KLA Industrial Camera工业相机 KLA科磊 IVC-2000 - 2 国外
2861 KLA IVC-4000 KLA科磊 IVC-4000 - 1 国外
2860 KLA-Tencor (ICOS) CD KLA科磊 Manual - 1 国外
2859 KLA-Tencor (ICOS) CD KLA科磊 ICOS6100_7.6 - 1 国外
2858 UV Irradiator紫外线照射机 Lintec RAD2000 F12 - 1 国外
2857 Muehlbauer Tech DS20000挑拣编带机 Muehlbauer Tech DS20000 - 1 国外
2856 Muehlbauer Tech DS15000挑拣编带机 Muehlbauer Tech DS15000 - 1 国外
2855 NGK RC-1000A产生器 NGK RC-1000A - 1 国外
2854 NITTO DSA840贴片机 NITTO DSA840 - 1 国外
2853 NITTO HSA840撕膜机 NITTO HSA840 - 1 国外
2852 NITTO M286N贴片机 NITTO M286N - 2 国外
2851 NITTO MSA840贴片机 NITTO MSA840 - 2 国外
2850 NITTO MA3000-II贴片机 NITTO MA3000-II - 1 国外
2849 NITTO UM-810紫外线照射机 NITTO UM-810 - 2 国外
2848 NITTO NITOMATIC Detaper撕膜机 NITTO NITOMATIC - 1 国外
2847 NITTO DR3000-II贴膜机 NITTO DR3000-II - 3 国外
2846 NITTO DR3000-III贴膜机 NITTO DR3000-III - 1 国外
2845 NITTO MA1508N贴片机 NITTO MA1508N - 1 国外
2844 Nordson Quantum Q-6800自动点胶系统 Nordson Quantum Q-6800 - 1 国外
2843 Panaonic KXFW1KS8A00 Feeder飛達 Panaonic KXFW1KS8A00 - 1 国外
2842 Panaonic KXFW1KS5A00 Feeder飛達 Panaonic KXFW1KS5A00 - 6 国外
2841 SEIKO EPSON Handler分选机 SEIKO EPSON NS-6040 - 34 国外
2840 SEIKO EPSON Handler分选机 SEIKO EPSON NS-7000 - 11 国外
2839 SEIKO EPSON Handler分选机 SEIKO EPSON NS-7080 - 3 国外
2838 SEIKO EPSON Handler分选机 SEIKO EPSON NS-7000 - 3 国外
2837 SEIKO EPSON Handler分选机 SEIKO EPSON NS-8040 - 1 国外
2836 SEIKO EPSON Handler分选机 SEIKO EPSON NS-8080 - 1 国外
2835 TAKATORI ATM-12000DR贴膜机 TAKATORI ATM-12000DR - 1 国外
2834 TAKATORI ATRM-2100D撕膜机 TAKATORI ATRM-2100D - 1 国外
2833 TAKATORI TEAM-300贴膜机 TAKATORI TEAM-300 - 1 国外
2832 TAKATORI ATRM-2100撕膜机 TAKATORI ATRM-2100 - 1 国外
2831 TEIKOKU ATM-800X贴膜机 TEIKOKU ATM-800X - 1 国外
2830 ACCRETECH TSK A-WD-5000A划片机 ACCRETECH东京精密 A-WD-5000A - 1 国外
2829 UNI-TEK TM2510手动贴片机 UNI-TEK TM2510 - 1 国外
2828 Y-A-M-A-T-O Oven烤箱 Y-A-M-A-T-O DKN402 - 1 国外
2827 AST Evaporator电子束蒸镀机 AST PEVA-900E 2014-08-13 已打包 国外
2826 Camtek Falcon 620Plus晶圆检测机器 Camtek Falcon 620Plus 2014-12-31 已打包 国外
2825 CDE resh map 168CDE四点探针电阻仪 CDE CDE ResMap168 2015-05-21 已打包 国外
2824 CSK HEATS-05洗涤器 CSK南韩 HEATS-05 2015-08-05 已打包 国外
2823 RIGAKU WDA-3650荧光光谱仪 RIGAKU WDA-3650 2015-06-30 已打包 国外
2822 SPTS FXP刻蚀机 SPTS FXP 2014-03-11 已打包 国外
2821 Gemini Fusion/Anodic Bonder熔合/阳极键合机 EVG Gemini 2015-03-01 已打包 国外
2820 OKAMOTO GNX200B研磨机 OKAMOTO GNX200B 2015-03-01 已打包 国外
2819 Holmarc Contact AngleHolmarc 接触角测量仪 Holmarc HO-IAD-CAM-01A 2016-07-14 已打包 国外
2818 Keyence microcscopeKeyence 显微镜 Keyence Keyence VHX-500 2016-02-17 已打包 国外
2817 MX608 Wafer Thickness晶圆厚度测量仪 E+H Metrology E+H MX608T 2015-11-06 已打包 国外
2816 NIKON DS-FI2显微镜校准器 NIKON DS-FI2 2017-06-30 已打包 国外
2815 OAI Mask alignerOAI光刻机 OAI 8008A 2014-08-29 已打包 国外
2814 PAV Tepla GIGABatch 380P除胶机 PAV Tepla GIGABatch 380P 2016-12-24 已打包 国外
2813 SPTS CET 25 VPX蒸汽蚀刻机 SPTS CET 25 VPX 2016-04-04 已打包 国外
2812 RCH FurnaceRCH 炉管 RCH Model 5604 2014-09-01 已打包 国外
2811 RISE Wet Bench酸槽 RISE NA 2015-03-01 已打包 国外
2810 Sensofar Pegasus 8060 Optical Imaging Pr Sensofar Pegasus 8060 2015-03-01 已打包 国外
2809 SRD Der Fong DF-200-D晶圆甩干机 Der Fung Engineering DF-200 2014-06-30 已打包 国外
2808 TEL ACT8涂胶显影机 TEL ACT8 2014-12-17 已打包 国外
2807 DISCO DFD6240划片机 DISCO DFD6240 2015-11-06 已打包 国外
2806 KLA FLX-5400 Flexus晶圆翘曲度测量仪 KLA科磊 FLX-5400 2015-02-01 已打包 国外
2805 KLA SURFSCAN SFS6220颗粒检测仪 KLA科磊 SFS6220 2015-02-01 已打包 国外
2804 KLA Surfscan SP3(上料机构) KLA科磊 SP3 2012.3 上料机构 国外
2803 ASML PASS 5500/100D光刻机 ASML PASS 5500/100D 1996 6in(有3台) 国外
2801 EVG510晶圆键合机 EVG EVG510 2017 - 国外
2800 HITACHI S-8820量测设备 HITACHI S-8820 - 未折箱 国外
2798 KARL SUSS ACS200Plus涂胶显影机 KARL SUSS ACS200Plus 1998 Falcon robot
(
国外
2797 KLA Surfscan SP2晶圆检测系统 KLA科磊 SP2 - 300mm,真空处理与三重FI 国外
2796 TEL Trias (SFD TIN ) TEL Trias (SFD TIN ) 2007 300mm As-Is, Wh 国外
2795 TEL Trias (SFD TIN ) TEL Trias (SFD TIN ) 2007 300mm As-Is, Wh 国外
2794 NIKON NSR 2205i11D光刻机 NIKON NSR-2205i11D 1996.3 200mm As-Is, Wh 国外
2793 鑫揚CASSETTE CLEAN 鑫揚 CASSETTE CLEAN 2015.12 200mm As-Is, Wh 国外
2792 DNS FC-821L DNS FC-821L 2003.6 200mm As-Is, Wh 国外
2791 DNS FC-821L DNS FC-821L 2001.1 200mm As-Is, Wh 国外
2790 DNS FC-821L DNS FC-821L 2001.2 200mm As-Is, Wh 国外
2789 KLA UV-1050薄膜测量系统 KLA科磊 UV-1050 1996.5 200mm As-Is, Wh 国外
2788 SPC ELECTRONICS CASSETTE CLEANING SPC ELECTRONICS CASSETTE CLEANING 2000.4 200mm As-Is, Wh 国外
2787 Thermo Fisher DX600 Thermo Fisher DX600 2002.2 300mm As-Is, Wh 国外
2786 DNS MP3000 DNS MP3000 2005.12 300mm As-Is, Wh 国外
2785 ULVAC W300 EX多腔溅射设备 ULVAC爱发科 W300 EX 2005.11 300mm As-Is, Wh 国外
2784 TEL ACT-12涂胶显影机 TEL ACT-12 2004.4 300mm As-Is, Wh 国外
2783 TEL Trias TEL Trias 2003.11 300mm As-Is, Wh 国外
2782 TEL UW300Z TEL UW300Z 2002.3 300mm As-Is, Wh 国外
2781 Mattson Helios Mattson Helios 2005.3 300mm As-Is, Wh 国外
2780 Mattson 3000steam Mattson 3000steam 2004.4 300mm As-Is, Wh 国外
2779 ASM Dragon ASM Dragon 2005.11 300mm As-Is, Wh 国外
2778 ASM Dragon ASM Dragon 2005.8 300mm As-Is, Wh 国外
2777 LEICA INS3300 LEICA INS3300 2002.8 300mm As-Is, Wh 国外
2776 LEICA INS3300 LEICA INS3300 2005.2 300mm As-Is, Wh 国外
2775 HITACHI IS3000 HITACHI IS3000 2006.7 300mm As-Is, Wh 国外
2774 HITACHI U-712 HITACHI U-712 2003.10 300mm As-Is, Wh 国外
2773 HITACHI U-712 HITACHI U-712 2003.11 300mm As-Is, Wh 国外
2772 HITACHI U-712 HITACHI U-712 2003.10 300mm As-Is, Wh 国外
2771 REVERA RVX1000 REVERA RVX1000 2007.7 300mm As-Is, Wh 国外
2770 HMI eScan310 HMI eScan310 2008.12 300mm As-Is, Wh 国外
2769 ASM Epsilon E2000 ASM Epsilon E2000 - - 国外
2768 ASM Epsilon E2000+ ASM Epsilon E2000+ 2011 上个月拆机前正常运作,目前存放 国外
2767 KLA Surfscan SP1 TBI颗粒测量仪 KLA科磊 SP1-TBI - Equipment Make: 国外
2766 HITACHI SU-9000扫描电镜 HITACHI SU-9000 - - 国外
2765 Mattson AST3000退火炉 Mattson AST3000 - - 国外
2764 KARL SUSS ACS200涂胶显影机 KARL SUSS ACS200 2006 GENMARK Robot 国外
2763 ASML XT1250D光刻机 ASML XT1250D - 无尘车间正常运转中 国外
2762 DISCO DFD651划片机 DISCO DFD651 1997 机况良好。 国外
2761 TECHNOS TREX 610T缺陷测试仪 TECHNOS TREX610T 1999.11 - 国外
2760 DISCO DFG840减薄机 DISCO DFG840 1997 - 国外
2757 CANON PLA-501FA投影式光刻机 CANON PLA-501FA - - 国外
2756 HITACHI S-4700扫描电子显微镜 HITACHI S-4700 2001 HORIBA 7200-H X 国外
2755 NIKON NSR 2205i11C光刻机 NIKON NSR-2205i11C 1994 Stepper, 6" 国外
2754 HITACHI S-8820扫描电镜 HITACHI S-8820 - 200mm For sale< 国外
2751 NIKON NSR 2205 i11D光刻机 NIKON NSR-2205i11D - - 国外
2750 CANON MPA-500FA光刻机 CANON MPA-500FA 1982 5" 国外
2749 CANON MPA-500FA光刻机 CANON MPA-500FA 1995 5" 国外
2748 CANON MPA-500FAB光刻机 CANON MPA-500FAB 1995 5" 国外
2747 CANON MPA-500FAB光刻机 CANON MPA-500FAB 1996 5" 国外
2746 CANON MPA-500FA光刻机 CANON MPA-500FA 1983 5" 国外
2745 CANON PLA-501FA投影式光刻机 CANON PLA-501FA 1983 5" 国外
2744 SVG MSX1000 涂胶显影机 SVG MSX1000 2006 2" 2C(PBF Coate 国外
2743 DNS SC-W80A-AVFG刷片机 DNS SC-W80A-AVFG 1996.12 8" Scrubber 国外
2742 DNS SCW-636-BV 涂胶显影机 DNS SCW-636-BV 1988 6" 2C 国外
2741 TEL MARK-II涂胶显影机 TEL MARK-II 1989 6" 2D 国外
2740 TEL MARK-II涂胶显影机 TEL MARK-II 1989 6" 2D 国外
2739 TEL IW-6D扩散炉 TEL IW-6D 1996 5" 立式氧化 国外
2738 TEL IW-6D扩散炉 TEL IW-6D 1997 5" 立式氧化 国外
2737 TEL UL-2604-08-HS扩散炉 TEL UL-2604-08-HS 1988 6" 四管卧式氧化 国外
2736 TEL UL-2604-08-HS扩散炉 TEL UL-2604-08-HS 1988 6" 四管卧式氧化 国外
2735 TEL UL-2604-08L扩散炉 TEL UL-2604-08L 1990 6" 四管卧式氧化 国外
2734 NITTO DENKO USD-6·D-11·2-2扩散炉 NITTO DENKO USD-6·D-11·2-2 1989 6" 立式常压扩散 国外
2733 NITTO DENKO USD-6·D-12·2-1扩散炉 NITTO DENKO USD-6·D-12·2-1 1989 6" 立式常压扩散 国外
2732 NITTO DENKO USD-6·D-5·3-2扩散炉 NITTO DENKO USD-6·D-5·3-2 1989 6" 立式常压扩散 国外
2731 NITTO DENKO USD-6·D-7·3-2扩散炉 NITTO DENKO USD-6·D-7·3-2 1989 6" 立式常压扩散 国外
2730 NITTO DENKO USD-6·D-8·3-7扩散炉 NITTO DENKO USD-6·D-8·3-7 1989 6" 立式常压扩散 国外
2729 NITTO DENKO USD-6·D-8·3-8扩散炉 NITTO DENKO USD-6·D-8·3-8 1989 6" 立式常压扩散 国外
2728 Toshiba Machine EGV-28GX外延炉 Toshiba Machine EGV-28GX 1998 6" 双腔平板式外延 国外
2727 Shibaura CDE-7-3A 干法刻蚀机 Shibaura CDE-7-3A 1989 6" 微波去胶 国外
2726 HITACHI M-308AT干法刻蚀机 HITACHI M-308AT 1993 6" 刻金属 国外
2725 Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801 1990 6" 等离子体去胶 国外
2724 Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801 1990 6" 等离子体去胶 国外
2723 Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801 1990 6" 等离子体去胶 国外
2722 Alcan Tech MAS-801干法刻蚀机 Alcan Tech MAS-801 1990 6" 等离子体去胶 国外
2721 TEL TE480HGC干法刻蚀机 TEL TE480HGC 1989 6" SIN刻蚀 国外
2720 TEL TE480HGC干法刻蚀机 TEL TE480HGC 1989 6" SIN刻蚀 国外
2719 TEL VDF610S立式扩散炉 TEL VDF610S 1989 6" 立式扩散 国外
2718 NISSIN NH-20SR离子注入机 NISSIN日新 NH-20SR 1989 6" 中束流 国外
2717 NISSIN NH-20SR离子注入机 NISSIN日新 NH-20SR 1989 6" 中束流 国外
2716 NEC Laser Automation SL-473F打标机 NEC Laser Automation SL-473F 1997 6" 激光打标 国外
2715 DAN SCIENCE DI-200H-AR烘箱 DAN SCIENCE DI-200H-AR 1995 6" 国外
2714 TEL MAC-92CV掩膜版测试仪 TEL MAC-92CV 1997 6" overlay 国外
2713 NAPSON RG-8 电阻率测试仪 NAPSON RG-8 1990 6" 国外
2712 Dainippon Screen MFC SCW-622-BV旋转涂膜机 Dainippon Screen MFC SCW-622-BV 1989 6" 双轨SOG 国外
2711 NIKON NSR 1505G6E光刻机 NIKON NSR-1505G6E 1998 6" 国外
2710 NIKON NSR 1505G6E光刻机 NIKON NSR-1505G6E 1998 6"步进式 国外
2709 NITTO SEIKI Detaper去膜机 NITTO SEIKI Detaper - 6" 揭膜 国外
2708 Nanometrics M-215膜厚测量仪 Nanometrics M-215 1989.05 6" 国外
2707 Nanometrics M-215膜厚测量仪 Nanometrics M-215 1989.05 6" 国外
2706 USHIO UMA-802-H55RM紫外线固胶机 USHIO UMA-802-H55RM 1989 6" UV HARDEN US 国外
2705 USHIO UMA-802-H55RM紫外线固胶机 USHIO UMA-802-H55RM 1989 6" UV HARDEN US 国外
2704 USHIO UMA-802-HC551RM紫外线固胶机 USHIO UMA-802-HC551RM 1994 6" UV HARDEN US 国外
2703 USHIO UMA-802-HC55RM紫外线固胶机 USHIO UMA-802-HC55RM 1994 6" UV HARDEN US 国外
2702 VPRA-6检版机 - VPRA-6 - 6" 国外
2694 Toho technology H840A甩干机 Toho technology H840A - 6"卧式甩干 国外
2693 Toho technology H841A甩干机 Toho technology H841A - 6"卧式甩干 国外
2692 Arbrown SPD-160RN甩干机 Arbrown SPD-160RN - 6"卧式甩干 国外
2691 Arbrown H1220RNN甩干机 Arbrown H1220RNN - 6"卧式甩干 国外
2690 SCREEN R1W-811甩干机 SCREEN R1W-811 - 6"卧式甩干 国外
2689 Varian 120XP大束流离子注入机 Varian 120XP 1989.9 6"大束流 国外
2688 NIKON NSR 1755i7B光刻机 NIKON NSR-1755i7B - 6" 国外
2687 NIKON NSR 1505G7E光刻机 NIKON NSR-1505G7E - 6"备件机 国外
2686 NIKON NSR 1505G6E光刻机 NIKON NSR-1505G6E - 6"备件机 国外
2685 KOKUSAI DD-803V扩散炉 KOKUSAI DD-803V 1991.7 6"立式氧化 国外
2684 TECHNOS TREX610T缺陷测试仪 TECHNOS TREX610T 1992.4 6" 国外
2683 TEL MARK8涂胶显影机 TEL MARK8 1997.3 8" 2C/1D 国外
2682 SVG-8800涂胶机 SVG 8800 - 6" 2C 国外
2681 SVG-8800涂胶机 SVG 8800 - 6" 2C 国外
2680 SVG-8800显影机 SVG 8800 - 6" 2D 国外
2679 SVG-8800显影机 SVG 8800 - 6" 2D 国外
2678 Yes-1烘箱 - Yes-1 - 6" HMDS 国外
2677 NIKON NSR 2205i11D光刻机 NIKON NSR-2205i11D - 6" 国外
2676 MP FSI MP FSI 1989.6 在购热机 6" H2SO4/H 国外
2675 SCP 8400清洗机 SCP 8400 1997.2 在购热机 6" 半自动槽式;H 国外
2674 SCP 8400清洗机 SCP 8400 1997.2 在购热机 6" 半自动槽式;H 国外
2673 SCP 8400清洗机 SCP 8400 1997.2 在购热机 6" 槽式;HF/H 国外
2672 RTS-8四探针台 RTS RTS-8 2007 6"缺备件不可调试,作备件机 国外
2671 TAKATORI ATRM-2100去膜机 TAKATORI ATRM-2100 1994.6 6"缺备件,长期宕机 国外
2670 SCP PBL/SCP清洗机 SCP PBL/SCP 1994.5 在购热机 6" 半自动槽式;o 国外
2669 SCP-8400清洗机 SCP 8400 1994.5 在购热机 6" 半自动槽式;去 国外
2668 SCP 8400清洗机 SCP 8400 1992.11 在购热机 6" 槽式;BM R 国外
2667 SCP 8400清洗机 SCP 8400 1992.11 在购热机 6" 手动槽式;OX 国外
2666 SCP 8400清洗机 SCP 8400 1992.11 在购热机 6" BOE7:1; 国外
2665 SCP 8400清洗机 SCP 8400 1992.11 在购热机 6" 半自动槽式;去 国外
2664 AMAT 8310刻蚀机 AMAT应用材料 8310 1988.6 在购热机 6" 刻压点;18片 国外
2663 AMAT 8330刻蚀机 AMAT应用材料 8330 1991.4 在购热机 6" 刻AL;18片 国外
2662 AMAT 8330刻蚀机 AMAT应用材料 8330 1990.7 在购热机 6" 刻AL;18片 国外
2661 AMAT 8330刻蚀机 AMAT应用材料 8330 1990.7 在购热机 6" 刻AL;18片 国外
2660 AMAT 8330刻蚀机 AMAT应用材料 8330 1990.5 在购热机 6" 刻AL;18片 国外
2659 GASONICS Aura-1000干法去胶机 GASONICS Aura-1000 1994.1 部分完整 6" 可调试 单片微 国外
2658 AMAT 8310刻蚀机 AMAT应用材料 8310 1991.5 在购热机 6" 刻压点;18片 国外
2657 AMAT 8310刻蚀机 AMAT应用材料 8310 1992.6 在购热机 6" 刻压点;18片 国外
2656 AMAT 8330刻蚀机 AMAT应用材料 8330 1990.2 在购热机 6" 刻AL;18片 国外
2655 AMAT 8330刻蚀机 AMAT应用材料 8330 1988.8 在购热机 6" 刻AL;18片 国外
2654 CDE CDE-73B 刻蚀机 CDE CDE-73B 1999.9 在购热机 6" 刻Si;4片/ 国外
2653 Mattson Aspen II CVD Mattson Aspen II 2007 离线完整设备 6" CVD(S 国外
2652 Varian M2i溅射台 Varian M2i 1995.7 在购热机 6" 单片溅射;4个 国外
2651 SEMIX TOK TR6132涂胶机 SEMIX TOK TR6132 1999.12 在购热机 6" SOG;1条轨 国外
2650 AXCELIS M150PC紫外线固胶机 AXCELIS M150PC 1989 在购热机 6" 单片;自动传片 国外
2649 AXCELIS M150PC紫外线固胶机 AXCELIS M150PC 1990 备件机台 6" 单片;自动传片 国外
2648 AXCELIS M150PC紫外线固胶机 AXCELIS M150PC 1990 在购热机 6" 单片;自动传片 国外
2647 AXCELIS M150PC紫外线固胶机 AXCELIS M150PC 1990 在购热机 6" 单片;自动传片 国外
2646 AXCELIS M150PC紫外线固胶机 AXCELIS M150PC 1990 在购热机 6" 单片;自动传片 国外
2645 NIKON NWL 860+OPTIPHOT 200显微镜 NIKON NWL 860+OPTIPHOT 200 2004 备件机台 6" 带自动传片功能 国外
2644 NIKON NWL 860+OPTIPHOT 200显微镜 NIKON NWL 860+OPTIPHOT 200 2004 备件机台 6" 带自动传片功能 国外
2643 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 在购热机 6" P扩散;100 国外
2642 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1985 在购热机 6" P扩散;100 国外
2641 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1985 在购热机 6" P扩散;100 国外
2640 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 缺备件不能调试,作备件机6" 国外
2639 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 在购热机 6" DRIVE工艺 国外
2638 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 在购热机 6" 退火工艺;10 国外
2637 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 在购热机 6" 退火工艺;10 国外
2636 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 缺备件不能调试,6" 作备件机 国外
2635 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 在购热机 6" P扩散;100 国外
2634 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 在购热机 6" BPSG回流工 国外
2633 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 缺备件不能调试,6" 作备件机 国外
2632 Bruce Horizontal Furnace 扩散炉 Bruce Horizontal Furnace 1985 在购热机 6" P扩散;100 国外
2631 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1990 在购热机 6" 栅氧化;110 国外
2630 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1990 在购热机 6" 牺牲氧化;11 国外
2629 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1990 在购热机 6" 垫氧化;110 国外
2628 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1990 在购热机 6" 牺牲氧化;11 国外
2627 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1993 在购热机 6" DRIVE工艺 国外
2626 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1993 在购热机 6" 垫氧化;110 国外
2625 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1993 在购热机 6" P扩散;100 国外
2624 THERMCO Horizontal Furnace 扩散炉 THERMCO Horizontal Furnace 1993 在购热机 6" P扩散;100 国外
2623 TEL Horizontal Furnace扩散炉 TEL Horizontal Furnace 1989 6" 四管卧式常压氧化 国外
2622 NIKON NSR 1505G6E光刻机 NIKON NSR-1505G6E - 6"步进式 国外
2621 SVG MSX1000涂胶显影机 SVG MSX1000 2006 2" HMDS 国外
2620 RIE CDE-7-3干法刻蚀机 RIE CDE-7-3 1989 6" RIE刻蚀 国外
2619 TEL TE5000干法刻蚀机 TEL TE5000 - 6" SIO2刻蚀机 国外
2618 TEL VCF 615扩散炉 TEL VCF 615 - 6" 立式低压SIN CVD炉 国外
2608 NIKON NSR S204B分步投影光刻机 NIKON NSR-S204B 2000.11 分步投影光刻机 国外
2607 TEL INDYPLUS-B-M TEL INDYPLUS-B-M 2013.06 300mm DIFF 国外
2606 TEL INDYPLUS- TEL INDYPLUS- - 300mm DIFF 国外
2605 TEL ALPHA-303I-H TEL ALPHA-303I-H 2001.07 300mm DIFF 国外
2604 TEL ALPHA-303I-H TEL ALPHA-303I-H 2006.11 300mm DIFF 国外
2603 TEL ALPHA-303I-K TEL ALPHA-303I-K 2005.1 300mm DIFF 国外
2602 TEL ALPHA-303I-K TEL ALPHA-303I-K 2005.11 300mm DIFF 国外
2601 TEL FORMUAL-1S-H TEL FORMUAL-1S-H 2005.01 300mm DIFF 国外
2600 KOKUSAI DD-1223V KOKUSAI DD-1223V 2003.11 300mm H2 ANNEAL 国外
2599 KOKUSAI DD-1223VN KOKUSAI DD-1223VN 2006.06 300mm BIO 国外
2598 KOKUSAI DJ-1236V-DF KOKUSAI DJ-1236V-DF - 300mm BIO 国外
2597 KOKUSAI DJ-1206V-DF KOKUSAI DJ-1206V-DF 2007.12 300mm HTO 国外
2596 KOKUSAI DJ-1223VN KOKUSAI DJ-1223VN 2015.03 300mm HTO 国外
2595 KOKUSAI DJ-1206V KOKUSAI DJ-1206V 2008.04 300mm HTO 国外
2594 KOKUSAI DJ-1206VN KOKUSAI DJ-1206VN 2007.12 300mm HTO 国外
2593 KOKUSAI DJ-1206VN-DF KOKUSAI DJ-1206VN-DF - 300mm ALD (SiCN 国外
2592 KOKUSAI DJ-1206VN-DF KOKUSAI DJ-1206VN-DF - 300mm ALD (SiO2 国外
2591 KOKUSAI DJ-1206VN-DF KOKUSAI DJ-1206VN-DF - 300mm ALD (SiRN 国外
2590 KOKUSAI DJ-1206VN-DF KOKUSAI DJ-1206VN-DF - 300mm Si3N4 国外
2589 KOKUSAI DJ-1223VN KOKUSAI DJ-1223VN 2006.03 300mm Si3N4 国外
2588 KOKUSAI DJ-1223VN KOKUSAI DJ-1223VN - 300mm Si3N4 国外
2587 KOKUSAI DJ-1260VN-DF KOKUSAI DJ-1260VN-DF 2014.09 300mm Si3N4 国外
2586 KOKUSAI DJ-1223VN KOKUSAI DJ-1223VN 2012.02 300mm Si3N4 国外
2585 KOKUSAI DJ-1223V KOKUSAI DJ-1223V 2001.07 300mm Si3N4 (TH 国外
2584 KOKUSAI DJ-1206VN-DM KOKUSAI DJ-1206VN-DM 2006.11 300mm HQ-SiO2 ( 国外
2583 KOKUSAI DJ-1206VN KOKUSAI DJ-1206VN 2006.04 300mm Zro/Al2O3 国外
2582 KOKUSAI DJ-1236VN KOKUSAI DJ-1236VN 2013 300mm D-POLY 国外
2581 KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V 2006 6" PYRO 国外
2580 KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V 2011 6" PYRO 国外
2579 KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V 2011 6" PYRO 国外
2578 KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V 2011 6" PYRO 国外
2577 KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V 2011 6" PYRO 国外
2576 KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V 2011 6" PYRO 国外
2575 KOKUSAI DD-812V立式扩散炉 KOKUSAI DD-812V 2011 6" PYRO 国外
2574 KOKUSAI DJ-833V立式扩散炉 KOKUSAI DJ-833V 2008.03 8" WELL(PYRO)HC 国外
2573 KOKUSAI DJ-833V立式扩散炉 KOKUSAI DJ-833V 2008.03 8" Poly-Si 国外
2572 KOKUSAI DD-802V立式扩散炉 KOKUSAI DD-802V - 8" N2 ANNEL 国外
2571 KOKUSAI DD-802V-A立式扩散炉 KOKUSAI DD-802V-A - 8" PYRO 国外
2570 KOKUSAI DD-802V立式扩散炉 KOKUSAI DD-802V - 8" Poly-Si 国外
2433 Denton磁控 Denton - - - 国外
2432 DISCO DAD3220划片机 DISCO DAD3220 2016 Dicing saw, 8"
国外
2431 DISCO DAD321/341划片机 DISCO DAD321/341 - 4台打包出售(2个型号各2台) 国外
2429 SHIMADZU ALLS-100X-33CⅡ液晶注入装置 SHIMADZU ALLS-100X-33CⅡ 2005 制造商:SHIMADZU
国外
2427 AMAT MATERIALS CENTURA ENABLER AMAT应用材料 MATERIALS CENTURA ENABLER 2008 System
AC Ra
国外
2426 CANON FPA 5510 iX Stepper i-Line stepper CANON FPA 5510 iX Stepper i-Line ste 2014 线上热机 国外
2425 AMAT MATERIALS Centura HTF EPI System AMAT应用材料 MATERIALS Centura HTF EPI Syst - 美国已下线 国外
2423 AMAT P5000刻蚀机 AMAT应用材料 P5000 - 8'' CVD 国外
2422 Varian 120XP大束流离子注入机 Varian 120XP - 6"大束流 国外
2421 NIKON NSR 2005i8A光刻机 NIKON NSR-2005i8A - - 国外
2420 NIKON NSR 2205i12C光刻机 NIKON NSR-2205i12C - 尼康NSR 2205 i12C 国外
2419 DISCO DAD651切割机 DISCO DAD651 2002 Dicing saw
Dua
国外
2414 ULVAC W300 EX多腔溅射设备 ULVAC爱发科 W300 EX - As-is Multi-ch( 国外
2413 AMAT Producer-GT CVD AMAT应用材料 Producer-GT CVD - As-is 国外
2412 TEL MARK-VZ涂胶显影机 TEL MARK-VZ - As-is 2C2D 国外
2411 AMSL XT760F KrF Scanner AMSL XT760F KrF Scanner - As-is 国外
2410 AMAT mirra MESA CMP AMAT应用材料 mirra MESA CMP - FULL REPUB 国外
2409 EBARA EPO222 CMP EBARA EPO222 CMP - As-is 国外
2408 Oxford Plasmalab System 100 RIE+PECVD OXFORD牛津 Plasmalab System 100 RIE+PECVD - As-is 国外
2407 DNS SU3200 DNS SU3200 - FULL REPUB 国外
2406 DNS SK3000 DNS SK3000 - As-is 国外
2405 LAM RAINBOW 4420等离子刻蚀机 LAM泛林 RAINBOW 4420 - 6" As-is 国外
2404 USHIO UX-4440 Aligner USHIO UX-4440 Aligner - As-is 国外
2403 CHA Revolution CHA Revolution - As-is 国外
2402 ULVAC EI-7K蒸发台 ULVAC爱发科 EI-7K - As-is 国外
2401 ULVAC EI-5K蒸发台 ULVAC爱发科 EI-5K - As-is 国外
2400 Plasma "Therm Versaline ICP-RIE #2 PSS I Plasma Therm Versaline ICP-RIE #2 PSS - 6 As-is 国外
2399 ULVAC Chamber(5CH) ULVAC爱发科 Chamber(5CH) - 12 As-is 国外
2398 Ebara F-REX300S CMP Ebara F-REX300S CMP - 12 FULL REPUB 国外
2397 AMAT P5000刻蚀机 AMAT应用材料 P5000 - 8 REFURB 国外
2396 Maxis 300LA ICP Maxis 300LA ICP - 12 As-is 国外
2395 Nippon Sanso Nippon Sanso - 6 As-is 国外
2394 Aixtron Crius II MOCVD设备 Aixtron Crius II - As-is 国外
2393 DISCO DFD641划片机 DISCO DFD641 - 8 Working 国外
2392 Oxford Plasma Pro NGP1000 OXFORD牛津 Plasma Pro NGP1000 - 12" As-is 国外
2391 AMAT P5000刻蚀机 AMAT应用材料 P5000 - FULL REPUB 国外
2390 TEL P-12XL Probe TEL P-12XL Probe - 12" As-is 国外
2389 HITACHI HL7800M透射电镜 HITACHI HL7800M - As-is 国外
2388 HITACHI HL8000M HITACHI HL8000M - As-is 国外
2387 HITACHI S4800 II扫描电子显微镜 HITACHI S4800 II - 8 working 国外
2386 HITACHI S4700 II扫描电子显微镜 HITACHI S-4700 II - 8 FULL REPUB 国外
2385 HITACHI S-4700 I扫描电子显微镜 HITACHI FESEM S4700 I - 8 Working 国外
2384 HITACHI S-9260A HITACHI S-9260A CDSEM - 8 FULL REPUB 国外
2383 HITACHI S-9260A HITACHI S-9260A CDSEM - 8 As-is 国外
2382 TEL ACT12 Single Block TEL ACT12 Single Block - 12 As-is 国外
2381 TEL ACT8 2C4D, Double Block TEL ACT8 2C4D,Double Block - 8 working 国外
2380 NIKON NSR SF200光刻机 NIKON NSR-SF200 - 6, 8 Initial Co 国外
2379 NIKON NSR 207D光刻机 NIKON NSR-207D - 6, 8 working 国外
2378 NIKON NSR 2205i12D光刻机 NIKON NSR-2205i12D - 6-12" Initial C 国外
2377 NIKON NSR 2205i9C光刻机 NIKON NSR-2205i9C - 6 Working 国外
2376 NIKON NSR 1505G7E光刻机 NIKON NSR-1505G7E - 6 Working 国外
2375 NIKON NSR SF130光刻机 NIKON NSR-SF130 - 12 REFURB 国外
2374 AMAT Amat Centura2 DSP AMAT应用材料 Amat Centura2 DSP - 8 As-is 国外
2373 AMAT Centura Ultima HDP AMAT应用材料 Centura Ultima HDP - 8 As-is 国外
2372 AMAT Centura Ultima AMAT应用材料 Centura Ultima - 8 As-is 国外
2371 AMAT Centura2 DPS+ Poly Etch AMAT应用材料 Centura2 DPS+ Poly Etch - 8 As-is 国外
2370 Aixtron Aix 2800 G4 TM MOCVD设备 Aixtron Aix 2800G4 TM - 4 working 国外
2369 EVG 510 Wafer bonder EVG 510 Wafer bonder - 4-6 working 国外
2368 AST PEVA-600I AST PEVA-600I - 4-6 working 国外
2367 TEAMs ITO TEAMs ITO - 4-6 working 国外
2366 Aixtron Aix200 MOCVD设备 Aixtron Aix200 - 2 1998, R&D 国外
2365 TEL ALPHA 8S TEL ALPHA 8S - 8 As-is 国外
2364 Hamai Hamai - - 12 As-is 国外
2363 Peter Wolters AC-1500P Peter Wolters AC-1500P - 12 Overhaul Con 国外
2362 BBS E-450 Prime BBS E-450 Prime - 8 As-is 国外
2361 LEICA INM300 LEICA INM300 - 8 As-is 国外
2360 KLA P-15单向节流阀 KLA科磊 P-15 - 8 As-is 国外
2359 KLA PHX DF 5.0 KLA科磊 PHX DF 5.0 - 8 As-is 国外
2358 KLA Ultrascan 9300 KLA科磊 Ultrascan 9300 - 8 As-is 国外
2357 KLA Ultrascan 9000光测量系统 KLA科磊 Ultrascan 9000 - 8 As-is 国外
2356 KLA AFS-3220 KLA科磊 AFS-3220 - 8 As-is 国外
2354 KLA Surfscan SP1 DLS晶圆检测仪 KLA科磊 SP1-DLS - 6-12 As-is 国外
2353 HITACHI RS-5500扫描电子显微镜 HITACHI RS-5500 - 8 Initial Condi 国外
2352 TEL ACT12 TEL ACT12 - 12 Parts MC 国外
2351 NIKON NSR 4425光刻机 NIKON NSR-4425 - 6,9 Initializin 国外
2350 NIKON NSR 2205i11D光刻机 NIKON NSR-2205i11D - 6,8 Initializin 国外
2349 NIKON NSR S204B分步投影光刻机 NIKON NSR-S204B - 6,8 Initial Con 国外
2348 NIKON NSR S203B光刻机 NIKON NSR-S203B - 6,8 Initial Con 国外
2347 TEL MARK7涂胶显影机 TEL MARK7 - 6 As-is 1C2D 国外
2346 AMAT P5000 PLIS刻蚀机 AMAT应用材料 P5000 PLIS - 翻新机Standard TEO 国外
2345 DNS SK80A 2C 1D DNS SK80A 2C 1D - 8 REFURB 国外
2344 DNS SK80BW 3C3D DNS SK80BW 3C3D - 8 As-is 国外
2343 TEL 8S-E POLC3 TEL 8S-E POLC3 - 6,8 working 国外
2342 AXCELIS NV-GSD-80 AXCELIS NV-GSD-80 - 6,8 REFURB 国外
2341 NIKON NSR SF130光刻机 NIKON NSR-SF130 2004 韩国入库,以packing状态 国外
2340 NIKON NSR S204B分步投影光刻机 NIKON NSR-S204B - 有2台已翻新+安装调试+质保
国外
2339 HITACHI S-4700扫描电子显微镜 HITACHI S-4700 2001 - 国外
2337 DISCO DFD6360切割机 DISCO DFD6360 2005 - 国外
2336 Besi Molding设备Fico AMSW40306 Besi Molding Fico AMSW40306 2010 - 国外

页次: 1 / 5页 每页:500 产品数:2379   9[1][2][3][4][5]: 总共有5页

龙玺精密-二手半导体设备买卖+翻新+非标定制 龙先生18868521984(微)

注:设备状态不定期更新,是否已售出请咨询。